下载此文档

EDA实验报告.doc


文档分类:高等教育 | 页数:约15页 举报非法文档有奖
1/15
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/15 下载此文档
文档列表 文档介绍
EDA实验报告
岳代兵 200800120265
电信工二班

实验一 4选1数据选择器的设计
实验目的
学习EDA软件的基本操作;学习使用原理图进行设计输入;初步掌握器件设计输入、编译、仿真和编程过程;学习实验开发系统的使用方法。
实验原理图
通过查阅数字电路课本,得知四选一数据选择器电路如下图。
仿真波形
功能仿真更容易辨别电路的功能实现与否,故采用功能仿真。注意功能仿真前,要先生成网络表,Process—>Generate Functional list。
引脚分配
A1—SW[0] (PIN_N25)
A0—SW[1] (PIN_N26)
D0—SW[2] (PIN_P25)
D1—SW[3] (PIN_AE14)
D2—SW[4] (PIN_AF14)
D3—SW[5] (PIN_AD13)
Y—LEDR[0] (PIN_AE23)
实验二四位比较器
实验目的
设计四位二进制码比较器,并在实验开发板系统上验证;学习层次化设计方法。
实验源程序
library ieee;
use ;
entity bijiaoqi is
port( a:in std_logic_vector(3 downto 0);
b:in std_logic_vector(3 downto 0);
g,m,l:out std_logic);
end bijiaoqi;
architecture behave of bijiaoqi is
begin
process(a,b)
begin
if(a>b) then g<='1';m<='0';l<='0';
elsif(a<b) then g<='0';m<='0';l<='1';
elsif(a=b) then g<='0';m<='1';l<='0';
end if;
end process;
end behave;
仿真波形(功能仿真)
引脚分配
a[0]—SW[0] (PIN_N25)
a[1]—SW[1] (PIN_N26)
a[2]—SW[2] (PIN_P25)
a[3]—SW[3] (PIN_AE14)
b[0]—SW[4] (PIN_AF14)
b[1]—SW[5] (PIN_AD13)
b[2]—SW[6] (PIN_AC13)
b[3]—SW[7] (PIN_C13)
l—LEDR[15] (PIN_AE13)
m—LEDR[16] (PIN_AE12)
g—LEDR[17] (PIN_AD12)

实验三并行加法器设计
实验目的
设计一个4位加法器;体会用HDL经行描述的优点。
实验源程序
library ieee;
use ;
use ;
entity jiafaqi is
port(a:in std_logic_vector(3 downto 0);
b:in std_logic_vector(3 downto 0);
ci:in std_logic;
s:out std_logic_vector(3 downto 0);
co:out std_logic);
end jiafaqi;
architecture behave of jiafaqi is
signal sint:std_logic_vector(4 downto 0);
signal aa,bb:std_logic_vector(4 downto 0);
begin
aa<='0'&a;
bb<='0'&b;
sint<=aa+bb+ci;
s(3)<=sint(3);
s(2)<=sint(2);
s(1)<=sint(1);
s(0)<=sint(0);
co<=sint(4);
end behave;
三、仿真波形
引脚分配
a[0]—SW[0] (PIN_N25)
a[1]—SW[1] (PIN_N26)
a[2]—SW[2] (PIN_P25)
a[3]—SW[3] (PIN_AE14)
b[0]—SW[4] (PIN_AF14)
b[1]—SW[5] (PIN_AD13)
b[2]—SW[6] (PIN_AC13)
b[3]—SW[7] (PIN_C13)
ci—SW[8] (PIN_B13)
co—LEDR[2] (PIN_AB21)
s[0]—LEDR[3] (PIN_AC22)
s[1]—LEDR[4

EDA实验报告 来自淘豆网m.daumloan.com转载请标明出处.

相关文档 更多>>
非法内容举报中心
文档信息
  • 页数15
  • 收藏数0 收藏
  • 顶次数0
  • 上传人mh900965
  • 文件大小751 KB
  • 时间2017-11-12
最近更新