下载此文档

EDA课程设计报告--EDA数字抢答器.doc


文档分类:办公文档 | 页数:约22页 举报非法文档有奖
1/22
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/22 下载此文档
文档列表 文档介绍
职业技术学院

学生课程设计报告
课程名称: EDA数字抢答器
专业班级: 电子102班
姓名: 刘森
学号:
学期: 2011-2012第二学期
目录
一、课程设计目的...................................3
二、课程设计题目描述和要求.........................3
三、课程设计报告内容...............................4
四、结论...........................................21
五、结束语.........................................22
六、参考书目.......................................22

一、课程设计目的.
设计一个可容纳4组参赛的数字式抢答器。通过此次设计熟练掌握VHDL 语言,并掌握设计所用的软件。
二、课程设计题目描述和要求

在许多比赛活动中,为了准确、公正、直观地判断出第一抢答者通常设置一台抢答器,通过数显、灯光及音响等多种手段指示出第一抢答者。同时,还可以设置计分、犯规及奖惩计录等多种功能。本设计的具体要求是:
(1) 设计制作一个可容纳四组参赛者的数字智力抢答器,每组设置一个抢答按钮供抢答者使用。
(2) 电路具有第一抢答信号的鉴别和锁存功能。
(3) 系统具有计分电路。
(4) 系统具有犯规电路。
系统设计方案:系统的输入信号有:各组的抢答按钮A、B、C、D,系统清零信号CLR,系统时钟信号CLK,计分复位端RST,加分按钮端ADD,计时预置控制端LDN,计时使能端EN,计时预置数据调整按钮可以用如TA、TB表示;系统的输出信号有:四个组抢答成功与否的指示灯控制信号输出口可用如LEDA、LEDB、LEDC、LEDD表示,四个组抢答时的计时数码显示控制信号若干,抢答成功组别显示的控制信号若干,各组计分动态显示的控制信号若干。整个系统至少有三个主要模块:抢答鉴别模块;抢答计时模块;抢答计分模块,其他功能模块(犯规警告模块,输出显示模块)。
三、课程设计报告内容
按照要求,我们可以将整个系统分为四个主要模块:抢答鉴别模块;抢答计时模块;抢答计分模块;译码显示模块。对于需显示的信息,需要增加或外接译码器,进行显示译码。考虑到实验开发平台提供的输出显示资源的限制,我们将组别显示和计时显示的译码器内设,而将各组的计分显示的译码器外接。。



抢答队伍共分为四组A,B,C,D。当主持人按下START键后,四组队伍才可以按抢答键抢答。抢答成功后表示该组的指示灯见亮起,但在主持人未按下START键之前,所有的抢答键按下均是无效的。当任意一个组抢答成功后,其余的组按抢答键无效。抢答键为A,B,C,D四个键。

library ieee;
use ;
entity jb is
port(sta:in std_logic;
rst:in std_logic;
a,b,c,d:in std_logic;
a1,b1,c1,d1:out std_logic;
states: out std_logic_vector(3 downto 0);
start: out std_logic);
end entity jb;
architecture art of jb is
constant w1: std_logic_vector:="0001";
constant w2: std_logic_vector:="0010";
constant w3: std_logic_vector:="0100";
constant w4: std_logic_vector:="1000";
signal sinor: std_logic;
signal nsinor: std_logic;
signal s_start: std_logic;
begin
sinor<=a or b or c or d;
nsinor<=not(a or b or c or d);
start<=s_start;
process(sta,nsinor) is
begin
if (sta='1') then
s_start<='1';
elsif(nsinor'event and nsinor='1')then
s_s

EDA课程设计报告--EDA数字抢答器 来自淘豆网m.daumloan.com转载请标明出处.

相关文档 更多>>
非法内容举报中心
文档信息
  • 页数22
  • 收藏数0 收藏
  • 顶次数0
  • 上传人miao19720107
  • 文件大小930 KB
  • 时间2018-06-12