下载此文档

2021年EDA优质课程设计篮球球比赛计分器.doc


文档分类:通信/电子 | 页数:约11页 举报非法文档有奖
1/11
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/11 下载此文档
文档列表 文档介绍
<<电子设计自动化EDA技术>>课程
设计汇报
题目: 篮球比赛记分牌
姓 名:
院 系:
专 业:
学 号:
指导老师:

完成时间: 年 月 日
设计题目
篮球比赛记分牌
设计要求
用PLD器件EP1K10TC100-3及7段译码显示数码管,设计一个篮球比赛记分牌,具体要求以下:
依据比赛实际情况统计两队得分,罚球进1分,进球2分;
记分牌要含有纠错功效,能减1分、2分功效;
利用3个译码显示管输出比赛分;
设计过程
(包含:设计方案`上机设计和仿真结果`硬件试验方案,及试验结果`收获`和体会)
EDA技术以硬件描述语言来描述系统级设计,采取自顶向下设计方法,并支持系统仿真和高层综合。VHDL语言含有含有很强行为描述能力和多层次描述硬件功效能力,是系统设计领域中使用最多硬件描述语言之一;含有标准、规范等优势,能在设计各个阶段对电路系统进行仿真和模拟,使设计者在系统设计早期就能检验设计系统功效,极大降低了可能发生错误,降低了开发成本。
设计方案:
利用一个D触发器,3个4位二进制全加器,一个二选一数据选择器,3个七段译码显示管组成电路,此电路含有加减、复位、显示等功效。能够满足比赛实际要求。
评定成绩
指导老师评语
课程设计等级
目录
1 课程设计题目`内容和要求………………………
设计内容
具体要求
2系统设计…………………………
设计思绪
系统原理
3 系统实现……………………………………………
4 系统仿真……………………………………………
5硬件验证(操作)说明………………………………
6 总结…………………………………………………
7参考书目……………………………………………
一、 课程设计题目、内容和要求
:篮球比赛记分牌

依据比赛实际情况统计两队得分,罚球进1分,进球2分;
记分牌要含有纠错功效,能减1分、2分功效;
利用3个译码显示管输出比赛分;
二、 系统设计

篮球比赛记分牌是统计两队比赛得分情况,并能够进行纠错功效;依据系统设计要求,篮球记分牌电路原理框图以下:
系统原理和设计说明
系统各个模块功效以下:
1、D触发器电路模块实现翻转功效当犯错时,输出为1,使电路回到上一个正确状态。
2、4为二进制全加器电路模块实现加法计数功效。
3、移位寄存器电路模块保留比赛两队得分情况4个相邻状态,犯错时将调用上一个正确状态。
4、二选一数据选择器电路模块 用来控制移位寄存器
5、 LED数码管驱动电路模块
三、系统实现
各模块电路源程序以下:
1、D触发器电路模块及程序:
set输入(Q=1),清零应该能够用复位键reset吧(Q=0)。
library ieee;
use ;
entity sync_rsdff is
port(d,clk : in std_logic;
set : in std_logic;
reset: in std_logic;
q,qb : out std_logic);
end sync_rsdff;
architecture rtl_arc of sync_rsdff is
begin
process(clk)
begin
if (clk'event and clk='1') then
if(set='0' and reset='1') then
q<='1';
qb<='0';
elsif (set='1' and reset='0') then
q<='0';
qb<='1';
else
q<=d;
qb<=not d;
end if;
end if;
end process;
end rtl_arc;
移位寄存器模块电路及程序:
library IEEE;
use ;
entity shft_reg is
port (
DIR : in std_logic;
CLK : in std_logic;
CLR : in std_logic;
SET : in st

2021年EDA优质课程设计篮球球比赛计分器 来自淘豆网m.daumloan.com转载请标明出处.

非法内容举报中心
文档信息
  • 页数11
  • 收藏数0 收藏
  • 顶次数0
  • 上传人梅花书斋
  • 文件大小173 KB
  • 时间2020-11-06