EDA复习72085选择题
(1)假设输入信号a=“6”,b=“E”,则以下程序执行后,c的值为 C 。
entity logic is
port( a,b : in std_logic_vector(3 downto 0);
c : out std_logic_vector(7 downto 0));
end logic;
architecture a of logic is
begin
c(0) <= not a(0);
c(2 downto 1) <= a(2 downto 1) and b(2 downto 1);
c(3) <= '1' xor b(3) ;
c(7 downto 4) <= "1111" when (a (2)= b(2)) else "0000";
end a;
A “F8” B“FF” C“F7” D“0F”
(2)在VHDL中用 D 来把特定的结构体关联到一个确定的实体。
A. 输入 B. 输出 C. 综合 D. 配置
(3)在下列标识符中, B 是VHDL合法的标识符
A. 4h_add B. h_adde_ C. h_adder
(4)Maxplus Ⅱ是 A
B. 硬件描述语言 C. EDA工具软件 D. 综合软件
(5)在使用Maxplus Ⅱ工具软件实现文本输入时应采用 B 方式。
A. 图形编辑 B. 文本编辑 C. 符号编辑 D. 波形编辑
(6)执行Maxplus Ⅱ的 B 命令,可以对设计电路进行功能仿真或时序仿真
A. Create Default Symbol B. Simulator
C. Compiler D. Timing Analyzer
(7)Maxplus Ⅱ的图形设计文件类型是 B
A. .scf B. .gdf C. .vhd D. .v
(8)在VHDL中为目标变量赋值符号为 C
A. = B. <= C. := D. =:
(9)在下列可编程逻辑器件中,属于易失性器件的是 C
A. EPLD B. CPLD C. FPGA
EDA复习 来自淘豆网m.daumloan.com转载请标明出处.