下载此文档

北邮数电 节拍器实验报告.docx


文档分类:行业资料 | 页数:约23页 举报非法文档有奖
1/23
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/23 下载此文档
文档列表 文档介绍
数电实验报告
一、设计课题的任务要求
1)基本要求
1、速度在40~120 次/分钟范围内连续可调,通过2 个按键进行速度调节,一个用来增
加,一个用来减少,当长按按键时,按5 次/秒的速度连续增加或减少,用3 个数码
管显示当前速度。
2、节拍有1/4、2/4、3/4、4/4、3/8、6/8 可选,通过一个按键选择,用2 个数码管显示。
3、通过一个按键开始和停止打节拍,开始后按照设置好的节拍和速度打节拍。
4、要求有声音和灯光提示,声音要有强弱区别,灯光可用不同颜色的发光二极管表示
强弱。
2)提高要求
通过一个按键选择时值(节奏类型),并在用点阵显示,如下图。
二、系统设计
节拍器的设计关键是由按键控制速度,并产生不同的分频。由于对节拍器不了解,实验结果与实际节拍器不同,不过vhdl用到的模块,实现的功能是一样的。
系统的顶层设计是:
输入:50MHz时钟cp、按键button,button1,button2,button3
输出:beep给蜂鸣器,led1,2,3分别给不同的led灯,countout,smg控制数码管显示,hang,lie控制点阵显示
节拍器的设计分为六个模块:速度设置模块vset,节拍和暂停按键处理模块jpset,分频模块fenpin,数码管显示模块ra;蜂鸣器(和二极管)模块fmq;点阵模块jpfs。
分频模块分频:
分频模块输入50M赫兹时钟cp,给其他模块提供分频后的时钟。输出Clk为分频比为25000000*12/(speed+40)),其每十二个周期等于对应速度的一个节拍周期,输出给蜂鸣器模块。 Clk1s为250 000分频,输出给速度设置模块vset。Clkmap,clkbeep3为对时钟cp 80 000分频625赫兹,clkmap输出给数码管模块作为扫描频率,clkbeep3为低音频率。Clkcount ,Clkbeep1为1250hz,Clkbeep1作为高音频率,Clkcount作为扫描频率检测时间片,输出到蜂鸣器模块。Clkbeep2为1000hz,作为中音频率。
速度设置模块vset:
按键button1,2分别为控制加和减的按键。Clk1s为检测按键扫描时钟,判断哪个按键按下,并判断按下时间,加减速度。输出speed为速度,输出到蜂鸣器模块,及数码管模块。
节拍和暂停按键处理模块jpset:
Button是节拍设置按键,button3为暂停按键,对按键进行防抖处理。每次button按下,jps加一改变节拍,输出jps到蜂鸣器模块,点阵模块以及数码管模块。Button3每被按下暂停信号sigwait翻转,sigwait输出到蜂鸣器模块使暂停或开始。
数码管显示模块ra:
数码管循环显示,根据不同节拍和速度,显示相应的节拍数字和速度数字。Clk作为扫描频率,jps为节拍信号,speed为速度信号,输出Countout控制哪个数码管显示,smg控制显示的数字。
蜂鸣器(和二极管)模块fmq:
时钟为clk,对不同的节拍和和速度,对应的时钟周期发出不同频率的声音,不同灯光。Clkbeep1,2,3分别为高低音,clkcount为检测频率,sigwait为暂停开始信号,Beep输出给蜂鸣器,led1,2,3控制不同led灯。
点阵模块jpfs
时钟cp,不同节拍对应不同显示符号lie,hang分别作为输出。
三、仿真波形及波形分析
速度设置模块仿真波形
速度加一加五减五
蜂鸣器模块仿真
暂停开始
点阵模块仿真波形
行信号
列信号
数码管仿真波形(3/8节拍)
决定哪个数码管亮
数码管显示波形
四、源程序
top层
LIBRARY IEEE;
USE ;
ENTITY jiepaiqi IS
PORT ( cp,button,button1,button2,button3 : IN STD_LOGIC;
smg : OUT STD_LOGIC_VECTOR (6 DOWNTO 0);
countout:OUT STD_LOGIC_VECTOR(5 DOWNTO 0);
lie:out std_logic_vector(7 downto 0);
hang:out std_logic_vector(7 downto 0);
beep,led1,led2,led3: out std_logic );
END jiepaiqi;
ARCHITECTURE a OF jiepaiqi IS
COMPONENT fenpin --//获取各种频率
PORT(cp: IN STD_LOGIC;
speed:IN

北邮数电 节拍器实验报告 来自淘豆网m.daumloan.com转载请标明出处.

相关文档 更多>>
非法内容举报中心
文档信息
  • 页数23
  • 收藏数0 收藏
  • 顶次数0
  • 上传人分享精品
  • 文件大小221 KB
  • 时间2017-12-03
最近更新