湖南人文科技学院
课程设计报告
课程名称:VHDL语言与EDA课程设计
设计题目: 简易电子琴
系别: 通信与控制工程系
专业: 电子信息工程
班级: 2008级1班
学生姓名: 邓启平何德保
学号: 08409123 08409142
起止日期: 2011年6月13日~2011年6月27日
指导教师: 田汉平周桃云岳舟
教研室主任: 谢四莲
指导教师评语:
指导教师签名: 年月日
成绩评定
项目
权重
成绩
邓启平
何德保
1、设计过程中出勤、学习态度等方面
2、课程设计质量与答辩
3、设计报告书写及图纸规范程度
总成绩
教研室审核意见:
教研室主任签字: 年月日
教学系审核意见:
主任签字: 年月日
摘要
本系统是采用EDA技术设计的一个简易的八音符电子琴,该系统基于计算机中时钟分频器的原理,采用自顶向下的设计方法来实现,它可以通过按键输入来控制音响。系统由频率选择模块、分频模块和扬声器输出模块三个部分组成。系统实现是用硬件描述语言VHDL按模块化方式进行设计,然后进行编程、时序仿真、整合。本系统功能比较齐全,有一定的使用价值。
关键词:电子琴;EDA;VHDL
目录
设计要求 1
1、方案论证与对比 1
1
1
2
2、各功能块电路及工作原理 3
、实体部分 3
、节拍显示 3
、频率选择模块 3
、分频模块 4
、扬声器输出模块 4
3、致谢 7
5、附录 8
简易电子琴
设计要求
设计一个简易电子琴;
利用实验箱的脉冲源产生1,2,3,。。。共7个或14个音阶信号;
用指示灯显示节拍;
*能产生颤音效果。
1、方案论证与对比
采用数字逻辑电路制作,用IC 拼凑焊接实现,这种电路很直观,简单方便。但应用数字逻辑电路制作的话,使用的器件较多,连接复杂,体积大,功耗大。电路中焊点和线路较多会,使成品的稳定度和精度大大降低。
采用现场可编程逻辑器件(FPGA)制作,利用EDA软件中的VHDL硬件描述语言编程进行控制,然后烧制实现。。它由控制输入电路、FPGA、显示电路和扬声器电路组成。
扬声电路
显示电路
FPGA
控制输入电路
采用FPGA设计的电子琴原理方框图
控制输入电路主要是为用户设计的,起到一个输入控制的作用。FPGA是现场可编程逻辑器件,也是本设计方案的核心内容,它是实现电子琴运作的主要控制模块。由设计者把编好 VHDL程序烧制到现场可编程逻辑器件FPGA中,然后通过控制输入电路把乐谱输入到FPGA,产生不同的频率驱动扬声器,发出不同的乐谱。同时也把发出的乐谱符号通过显示器输出。
单片机现在已经达到很成熟的阶段了,它的应用也十分广泛。采用单片机来实现电子琴,它的原理方框图与用FPGA来实现的原理方框图类似,。
扬声器电路
显示电路
MCU(单片机)
控制输入电路
采用单片机实现电子琴的原理方框图
对于电子琴的设计,三个方案均可以实现,但是第一个方案中采用的是数字逻辑电路来制作,该电路硬件所需的器材多,体积庞大,比较复杂,而且精度和稳定度都不是很高。第二个方案采用的是现场可编程逻辑器件来实现, 它的优点是所有电路集成在一块芯片上,此方案所需的外围电路简单,这样它的体积就减少了,同时还提高了系统的稳定度。还可以用Modelsim XE 。设计人员可以充分利用VHDL硬件描述语言方便的编程,提高开发效率,缩短研发周期,降低研发成本;而且易于进行功能的扩展,实现方法灵活,调试方便,修改容易。方案三也有它的优点,但同时也存在缺点。它对设计者的要求比较高,设计者对软硬件必须十分熟悉。和方案二来比它的实验仿真没有方案二简单直观,调试也有一定的难度。在外界环境相同的条件下,方案三设计出来的产品精度和稳定度要比方案二稍微差一些。因此,电子琴的设计我们选择方案二来实现。
2、各功能块电路及工作原理
、实体部分
定义模块的输入输出引脚,包括按键、时钟输入引脚和扬声器输出。
entity speakera is
port( clk : in std_logic; --时钟输入
index:in std_logic_vector( 6 downto 0); -- 按键输入
spks: out std_logic); --扬声器输出
end;
、节拍显示
将每个按键与一个led相串联,当按键按下
EDA设计电子琴设计 来自淘豆网m.daumloan.com转载请标明出处.