1
《电子设计自动化(EDA)技术》课程实训报告
项目名称: 简易电子琴
姓 名:
院 系:
专 业:
学 号:
指导教师:
完成时间: 2011 年 6 月 26 日
2
设计题目
简易电子琴设计
设计要求
1、设计一个简易电子琴;
2、利用实验箱的脉冲源产生1,2,3,。。。共7个或14个音阶信号;
3、用指示灯显示节拍;
*能产生颤音效果。
设计过程
1、编写代码
2、利用quartusII软件来做,首先根据要求编写代码,然后再对代码编译,仿真
3、在EDA实验箱里根据电路连线,做下载验证。
成绩评定
指导教师评语
项目设计等级
3
目 录
1 项目名称、内容与要求 ………………………………………1页
设计内容…………………………………………………1页
具体要求…………………………………………………1页
2 系统整体架构(Architecture Description)………………1页
设计思路………………………………………………… 1页
系统原理与设计说明等内容……………………………1页
创新点与原创性内容……………………………………2页
3 系统设计 ………………………………………………………6页
HDL 代码…………………………………………………7页
系统整体电路图…………………………………………9页
4 系统仿真(Simulation Waveform)…………………………10页
5 FPGA实现(FPGA Implementation)………………………11页
6 总结(Closing) ……………………………………………12页
参考书目(Reference):…………………………………………13页
附录(Appendix):………………………………………………14页
4
1 项目名称、内容与要求
设计内容
本系统是采用EDA技术设计的一个简易的八音符电子琴,该系统基于计算机中时钟分频器的原理,采用自顶向下的设计方法来实现,它可以通过按键输入来控制音响。系统由频率选择模块、分频模块和扬声器输出模块三个部分组成。系统实现是用硬件描述语言VHDL按模块化方式进行设计,然后进行编程、时序仿真、整合。本系统功能比较齐全,有一定的使用价值。
具体要求
、设计一个简易电子琴;
、利用实验箱的脉冲源产生1,2,3,。。。共7个或14个音阶信号;
、用指示灯显示节拍;
、*能产生颤音效果。
2 系统整体架构
设计思路
采用现场可编程逻辑器件(FPGA)制作,利用EDA软件中的VHDL硬件描述语言编程进行控制,然后烧制实现。。它由控制输入电路、FPGA、显示电路和扬声器电路组成。
系统原理
扬声电路
显示电路
FPGA
控制输入电路
5
采用FPGA设计的电子琴原理方框图
控制输入电路主要是为用户设计的,起到一个输入控制的作用。FPGA是现场可编程逻辑器件,也是本设计方案的核心内容,它是实现电子琴运作的主要控制模块。由设计者把编好 VHDL程序烧制到现场可编程逻辑器件FPGA中,然后通过控制输入电路把乐谱输入到FPGA,产生不同的频率驱动扬声器,发出不同的乐谱。同时也把发出的乐谱符号通过显示器输出。
、实体部分
定义模块的输入输出引脚,包括按键、时钟输入引脚和扬声器输出。
entity speakera is
port( clk : in std_logic; --时钟输入
index:in std_logic_vector( 6 downto 0); -- 按键输入
spks: out std_logic); --扬声器输出
end;
、节拍显示
将每个按键与一个led相串联,当按键按下时指示灯亮,按键松开时指示灯灭,来显示节拍。
简易电子琴 来自淘豆网m.daumloan.com转载请标明出处.